"); //-->
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY andn IS --待例化元件andn,由设计实体Generic_Statement所调用
GENERIC ( n :integer ); --定义类属参量n及其数据类型
PORT( a: IN std_logic_vector( n-1 downto 0); --用类属参量n定义矢量长度
c: OUT std_logic);
END;
ARCHITECTURE one OF andn IS
BEGIN
PROCESS( a )
VARIABLE int: std_logic;
BEGIN
int := '1';
FOR i IN a'LENGTH -1 downto 0 LOOP
IF a(i) = '0' THEN int := '0'; END IF;
END LOOP;
c <= int;
END PROCESS;
END;
*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。